Warning, /frameworks/syntax-highlighting/data/syntax/verilog.xml is written in an unsupported language. File is not indexed.

0001 <?xml version="1.0" encoding="UTF-8"?>
0002 <!DOCTYPE language>
0003 <language name="Verilog" version="8" kateversion="5.0" section="Hardware" extensions="*.v;*.V;*.vl" mimetype="text/x-verilog-src" author="Yevgen Voronenko (ysv22@drexel.edu), Ryan Dalzell (ryan@tullyroan.com)" license="">
0004   <highlighting>
0005     <list name="keywords">
0006       <item>macromodule</item>
0007       <item>table</item>
0008       <item>endtable</item>
0009       <item>specify</item>
0010       <item>specparam</item>
0011       <item>endspecify</item>
0012 
0013       <item>defparam</item>
0014       <item>default</item>
0015       <item>if</item>
0016       <item>ifnone</item>
0017       <item>else</item>
0018       <item>forever</item>
0019       <item>while</item>
0020       <item>for</item>
0021       <item>wait</item>
0022       <item>repeat</item>
0023       <item>disable</item>
0024 
0025       <item>assign</item>
0026       <item>deassign</item>
0027       <item>force</item>
0028       <item>release</item>
0029 
0030       <item>always</item>
0031       <item>initial</item>
0032       <item>edge</item>
0033       <item>posedge</item>
0034       <item>negedge</item>
0035 
0036       <!-- verilog-2001 keywords -->
0037       <item>config</item>
0038       <item>endconfig</item>
0039       <item>library</item>
0040       <item>design</item>
0041       <item>liblist</item>
0042       <item>cell</item>
0043       <item>use</item>
0044       <item>instance</item>
0045     </list>
0046 
0047     <list name="beginwords">
0048         <item>begin</item>
0049         <item>fork</item>
0050         <item>module</item>
0051         <item>case</item>
0052         <item>casex</item>
0053         <item>casez</item>
0054         <item>task</item>
0055         <item>function</item>
0056         <item>generate</item>
0057     </list>
0058 
0059     <list name="endwords">
0060         <item>end</item>
0061         <item>join</item>
0062         <item>endmodule</item>
0063         <item>endcase</item>
0064         <item>endtask</item>
0065         <item>endfunction</item>
0066         <item>endgenerate</item>
0067     </list>
0068 
0069     <list name="strength">
0070         <!-- drive strength supply0/supply1 omitted, its in types.. -->
0071         <item>strong0</item>
0072         <item>strong1</item>
0073         <item>pull0</item>
0074         <item>pull1</item>
0075         <item>weak0</item>
0076         <item>weak1</item>
0077         <item>highz0</item>
0078         <item>highz1</item>
0079         <!-- charge strength -->
0080         <item>small</item>
0081         <item>medium</item>
0082         <item>large</item>
0083     </list>
0084 
0085     <list name="gates">
0086        <item>pullup</item>
0087        <item>pulldown</item>
0088        <item>cmos</item>
0089        <item>rcmos</item>
0090        <item>nmos</item>
0091        <item>pmos</item>
0092        <item>rnmos</item>
0093        <item>rpmos</item>
0094        <item>and</item>
0095        <item>nand</item>
0096        <item>or</item>
0097        <item>nor</item>
0098        <item>xor</item>
0099        <item>xnor</item>
0100        <item>not</item>
0101        <item>buf</item>
0102        <item>tran</item>
0103        <item>rtran</item>
0104        <item>tranif0</item>
0105        <item>tranif1</item>
0106        <item>rtranif0</item>
0107        <item>rtranif1</item>
0108        <item>bufif0</item>
0109        <item>bufif1</item>
0110        <item>notif0</item>
0111        <item>notif1</item>
0112     </list>
0113 
0114     <list name="types">
0115       <!-- port direction -->
0116       <item>input</item>
0117       <item>output</item>
0118       <item>inout</item>
0119       <!-- net type -->
0120       <item>wire</item>
0121       <item>tri</item>
0122       <item>tri0</item>
0123       <item>tri1</item>
0124       <item>wand</item>
0125       <item>wor</item>
0126       <item>triand</item>
0127       <item>trior</item>
0128       <item>supply0</item>
0129       <item>supply1</item>
0130       <!-- reg/variable -->
0131       <item>reg</item>
0132       <item>integer</item>
0133       <item>real</item>
0134       <item>realtime</item>
0135       <item>time</item>
0136       <!-- modifier -->
0137       <item>vectored</item>
0138       <item>scalared</item>
0139       <item>trireg</item>
0140       <!-- other -->
0141       <item>parameter</item>
0142       <item>event</item>
0143       <!-- verilog-2001 types -->
0144       <item>signed</item>
0145       <item>automatic</item>
0146       <item>genvar</item>
0147       <item>localparam</item>
0148     </list>
0149 
0150     <contexts>
0151       <context attribute="Normal Text" lineEndContext="#stay" name="Normal">
0152         <DetectSpaces />
0153         <RegExpr attribute="Keyword" context="Block name" String="(begin|fork)\ *:" beginRegion="Block"/>
0154         <keyword attribute="Keyword" String="beginwords" context="#stay" beginRegion="Block"/>
0155         <keyword attribute="Keyword" String="endwords" context="#stay" endRegion="Block"/>
0156 
0157         <keyword attribute="Keyword" context="#stay" String="keywords" />
0158         <keyword attribute="Data Type" context="#stay" String="types" />
0159         <keyword attribute="Drive/charge strength" context="#stay" String="strength" />
0160         <keyword attribute="Gate instantiation" context="#stay" String="gates" />
0161 
0162         <RegExpr attribute="Decimal" context="#stay" String="[\d_]*'d[\d_]+"/>
0163         <RegExpr attribute="Octal" context="#stay" String="[\d_]*'o[0-7xXzZ_]+"/>
0164         <RegExpr attribute="Hex" context="#stay" String="[\d_]*'h[\da-fA-FxXzZ_]+"/>
0165         <RegExpr attribute="Binary" context="#stay" String="[\d_]*'b[01_zZxX]+"/>
0166         <Float attribute="Float" context="#stay"/>
0167         <Int attribute="Integer" context="#stay" />
0168 
0169         <RegExpr attribute="Case label" context="#stay" String="[a-zA-Z0-9_, \t]+\s*:" firstNonSpace="true"/>
0170         <!--<RegExpr attribute="Port map" context="Port" String="\.[a-zA-Z0-9_]+"/>-->
0171 
0172         <DetectChar attribute="String" context="String" char="&quot;"/>
0173         <Detect2Chars attribute="Comment" context="Commentar 1" char="/" char1="/"/>
0174         <Detect2Chars attribute="Comment" context="Commentar 2" char="/" char1="*" beginRegion="Comment"/>
0175         <AnyChar attribute="Symbol" context="#stay" String="!%&amp;()+,-&lt;=+/:;&gt;?[]^{|}~@"/>
0176 
0177         <DetectChar attribute="Preprocessor" context="Preprocessor" char="`" column="0"/>
0178         <RegExpr attribute="Preprocessor" context="#stay" String="\`[a-zA-Z_]+\w*" />
0179         <RegExpr attribute="System Task" context="#stay" String="\$[a-zA-Z_]+\w*" />
0180         <RegExpr attribute="Delay" context="#stay" String="#[\d_]+" />
0181 
0182       </context>
0183       <context attribute="String" lineEndContext="#pop" name="String">
0184         <LineContinue attribute="String" context="Some Context"/>
0185         <HlCStringChar attribute="String Char" context="#stay"/>
0186         <DetectChar attribute="String" context="#pop" char="&quot;"/>
0187       </context>
0188       <context attribute="Comment" lineEndContext="#pop" name="Commentar 1">
0189         <DetectSpaces />
0190         <IncludeRules context="##Comments" />
0191       </context>
0192       <context attribute="Comment" lineEndContext="#stay" name="Commentar 2">
0193         <DetectSpaces />
0194         <Detect2Chars attribute="Comment" context="#pop" char="*" char1="/" endRegion="Comment"/>
0195         <IncludeRules context="##Comments" />
0196       </context>
0197       <context attribute="Preprocessor" lineEndContext="#pop" name="Preprocessor">
0198         <LineContinue attribute="Preprocessor" context="Some Context"/>
0199         <RangeDetect attribute="Prep. Lib" context="#stay" char="&quot;" char1="&quot;"/>
0200         <RangeDetect attribute="Prep. Lib" context="#stay" char="&lt;" char1="&gt;"/>
0201         <Detect2Chars attribute="Comment" context="Commentar 1" char="/" char1="/"/>
0202         <Detect2Chars attribute="Comment" context="Commentar/Preprocessor" char="/" char1="*" beginRegion="Comment"/>
0203       </context>
0204       <context attribute="Comment" lineEndContext="#stay" name="Commentar/Preprocessor">
0205         <DetectSpaces />
0206         <Detect2Chars attribute="Comment" context="#pop" char="*" char1="/" endRegion="Comment"/>
0207         <IncludeRules context="##Comments" />
0208       </context>
0209       <context attribute="Normal Text" lineEndContext="#pop" name="Some Context"/>
0210 
0211       <context attribute="Block name" lineEndContext="#pop" name="Block name">
0212           <DetectIdentifier attribute="Data Type" context="#pop"/>
0213       </context>
0214 
0215 <!--      <context attribute="Normal Text" lineEndContext="#stay" name="Port">
0216           <DetectIdentifier attribute="Normal Text" context="#pop"/>
0217       </context>-->
0218     </contexts>
0219 
0220     <itemDatas>
0221       <itemData name="Normal Text" defStyleNum="dsNormal"/>
0222       <itemData name="Keyword"  defStyleNum="dsKeyword"/>
0223       <itemData name="Data Type"  defStyleNum="dsDataType"/>
0224 
0225       <itemData name="Decimal"  defStyleNum="dsBaseN"/>
0226       <itemData name="Octal"  defStyleNum="dsBaseN"/>
0227       <itemData name="Hex"  defStyleNum="dsBaseN"/>
0228       <itemData name="Binary"  defStyleNum="dsBaseN"/>
0229       <itemData name="Float"  defStyleNum="dsFloat"/>
0230 
0231       <itemData name="String"  defStyleNum="dsString"/>
0232       <itemData name="String Char"  defStyleNum="dsChar"/>
0233       <itemData name="Comment"  defStyleNum="dsComment"/>
0234       <itemData name="Symbol"  defStyleNum="dsOperator"/>
0235       <itemData name="Preprocessor"  defStyleNum="dsOthers"/>
0236       <itemData name="Prep. Lib"  defStyleNum="dsFloat"/>
0237       <itemData name="System Task" defStyleNum="dsDataType"/>
0238 
0239       <itemData name="Integer" defStyleNum="dsDecVal"/>
0240       <itemData name="Delay" defStyleNum="dsBaseN"/>
0241       <itemData name="Block name" defStyleNum="dsDataType"/>
0242       <itemData name="Drive/charge strength" defStyleNum="dsBaseN"/>
0243       <itemData name="Gate instantiation" defStyleNum="dsDataType"/>
0244 <!--       <itemData name="Port map" defStyleNum="dsDataType"/> -->
0245       <itemData name="Case label" defStyleNum="dsDecVal"/>
0246     </itemDatas>
0247   </highlighting>
0248   <general>
0249     <comments>
0250       <comment name="singleLine" start="//" position="afterwhitespace" />
0251       <comment name="multiLine" start="/*" end="*/" region="Comment"/>
0252     </comments>
0253     <keywords casesensitive="1" />
0254   </general>
0255 </language>
0256 <!-- kate: replace-tabs on; tab-width 2; indent-width 2; -->