Warning, /frameworks/syntax-highlighting/data/syntax/systemverilog.xml is written in an unsupported language. File is not indexed.

0001 <?xml version="1.0" encoding="UTF-8"?>
0002 <!--***********************************************************************-->
0003 <!-- $Id: systemverilog.xml 10 2009-06-25 03:41:39Z seanoboyle $            -->
0004 <!--***********************************************************************-->
0005 <!--  This program is free software: you can redistribute it and/or modify -->
0006 <!--  it under the terms of the GNU General Public License as published by -->
0007 <!--  the Free Software Foundation, either version 3 of the License, or    -->
0008 <!--  (at your option) any later version.                                  -->
0009 <!--                                                                       -->
0010 <!--  This program is distributed in the hope that it will be useful,      -->
0011 <!--  but WITHOUT ANY WARRANTY; without even the implied warranty of       -->
0012 <!--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the        -->
0013 <!--  GNU General Public License for more details.                         -->
0014 <!--                                                                       -->
0015 <!--  You should have received a copy of the GNU General Public License    -->
0016 <!--  along with this program.  If not, see <http://www.gnu.org/licenses/>.-->
0017 <!--                                                                       -->
0018 <!--***********************************************************************-->
0019 <!-- Title:        SystemVerilog Language Keywords File for Kate Editor    -->
0020 <!-- Description:  This file contains the SV keywords defined in the       -->
0021 <!--               IEEE1800-2009 Draft Standard in the format expected by  -->
0022 <!--               the Kate Editor.                                        -->
0023 <!--                                                                       -->
0024 <!-- Original Author: Sean O'Boyle                                         -->
0025 <!-- Contact:         seanoboyle@intelligentdv.com                         -->
0026 <!-- Company:         Intelligent Design Verification                      -->
0027 <!-- Company URL:     http://intelligentdv.com                             -->
0028 <!--                                                                       -->
0029 <!-- Download the most recent version here:                                -->
0030 <!--                  http://intelligentdv.com/downloads                   -->
0031 <!--                                                                       -->
0032 <!-- File Bugs Here:  http://bugs.intelligentdv.com                        -->
0033 <!--        Project:  SyntaxFiles                                          -->
0034 <!--                                                                       -->
0035 <!-- File: systemverilog.xml                                               -->
0036 <!-- $LastChangedBy: seanoboyle $                                          -->
0037 <!-- $LastChangedDate: 2009-06-24 20:41:39 -0700 (Wed, 24 Jun 2009) $      -->
0038 <!-- $LastChangedRevision: 10 $                                             -->
0039 <!--                                                                       -->
0040 <!--***********************************************************************-->
0041 <!DOCTYPE language>
0042 <language name="SystemVerilog" version="11" kateversion="5.0" section="Hardware" extensions="*.sv;*.svh" mimetype="text/x-systemverilog-src" author="Sean O'Boyle (seanoboyle@intelligentdv.com)" license="GPLv3+">
0043   <highlighting>
0044     <list name="key_deprecated">
0045        <item>defparam</item>
0046        <item>deassign</item>
0047     </list>
0048 
0049     <list name="key_dpi">
0050        <item>DPI</item>
0051        <item>DPI-C</item>
0052        <item>import</item>
0053        <item>export</item>
0054        <item>context</item>
0055     </list>
0056 
0057     <list name="key_assert">
0058        <item>assert</item>
0059        <item>assume</item>
0060        <item>cover</item>
0061        <item>expect</item>
0062        <item>disable</item>
0063        <item>iff</item>
0064        <item>binsof</item>
0065        <item>intersect</item>
0066        <item>first_match</item>
0067        <item>throughout</item>
0068        <item>within</item>
0069     </list>
0070 
0071     <list name="key_coverage">
0072        <item>coverpoint</item>
0073        <item>cross</item>
0074        <item>wildcard</item>
0075        <item>bins</item>
0076        <item>ignore_bins</item>
0077        <item>illegal_bins</item>
0078     </list>
0079 
0080     <list name="key_generator">
0081        <item>genvar</item>
0082     </list>
0083 
0084     <list name="key_conditional">
0085        <item>if</item>
0086        <item>else</item>
0087        <item>unique</item>
0088        <item>priority</item>
0089        <item>matches</item>
0090     </list>
0091 
0092     <list name="key_case">
0093        <item>default</item>
0094     </list>
0095 
0096     <list name="key_loop">
0097        <item>forever</item>
0098        <item>repeat</item>
0099        <item>while</item>
0100        <item>for</item>
0101        <item>do</item>
0102        <item>foreach</item>
0103        <item>break</item>
0104        <item>continue</item>
0105        <item>return</item>
0106     </list>
0107 
0108     <list name="key_specify">
0109        <item>pulsestyle_onevent</item>
0110        <item>pulsestyle_ondetect</item>
0111        <item>noshowcancelled</item>
0112        <item>showcancelled</item>
0113        <item>ifnone</item>
0114     </list>
0115 
0116     <list name="key_procblock">
0117        <item>initial</item>
0118        <item>final</item>
0119        <item>always</item>
0120        <item>always_comb</item>
0121        <item>always_ff</item>
0122        <item>always_latch</item>
0123     </list>
0124 
0125     <list name="key_contassign">
0126        <item>alias</item>
0127        <item>assign</item>
0128        <item>force</item>
0129        <item>release</item>
0130     </list>
0131 
0132     <list name="key_event">
0133        <item>posedge</item>
0134        <item>negedge</item>
0135        <item>edge</item>
0136        <item>wait</item>
0137        <item>wait_order</item>
0138     </list>
0139 
0140     <list name="key_time">
0141        <item>timeunit</item>
0142        <item>timeprecision</item>
0143        <item>s</item>
0144        <item>ms</item>
0145        <item>ns</item>
0146        <item>us</item>
0147        <item>ns</item>
0148        <item>ps</item>
0149        <item>fs</item>
0150        <item>step</item>
0151     </list>
0152 
0153     <list name="key_class">
0154        <item>new</item>
0155        <item>extends</item>
0156        <item>this</item>
0157        <item>super</item>
0158        <item>protected</item>
0159        <item>local</item>
0160        <item>rand</item>
0161        <item>randc</item>
0162        <item>bind</item>
0163     </list>
0164 
0165     <list name="key_constraint">
0166        <item>constraint</item>
0167        <item>solve</item>
0168        <item>before</item>
0169        <item>dist</item>
0170        <item>inside</item>
0171        <item>with</item>
0172     </list>
0173 
0174     <list name="key_methods">
0175        <item>virtual</item>
0176        <item>pure</item>
0177        <item>extern</item>
0178        <item>forkjoin</item>
0179     </list>
0180 
0181     <list name="key_config">
0182        <item>design</item>
0183        <item>instance</item>
0184        <item>cell</item>
0185        <item>liblist</item>
0186        <item>use</item>
0187     </list>
0188 
0189     <list name="key_library">
0190        <item>library</item>
0191        <item>incdir</item>
0192        <item>include</item>
0193     </list>
0194 
0195     <list name="key_interface">
0196        <item>modport</item>
0197     </list>
0198 
0199     <list name="key_checker">
0200         <item>sync_accept_on</item>
0201         <item>reject_on</item>
0202         <item>accept_on</item>
0203         <item>sync_reject_on</item>
0204         <item>restrict</item>
0205         <item>let</item>
0206         <item>until</item>
0207         <item>until_with</item>
0208         <item>unique0</item>
0209         <item>eventually</item>
0210         <item>s_until</item>
0211         <item>s_always</item>
0212         <item>s_eventually</item>
0213         <item>s_nexttime</item>
0214         <item>s_until_with</item>
0215         <item>global</item>
0216         <item>untyped</item>
0217         <item>implies</item>
0218         <item>weak</item>
0219         <item>strong</item>
0220         <item>nexttime</item>
0221     </list>
0222 
0223     <list name="key_types">
0224        <!-- parameters -->
0225        <item>parameter</item>
0226        <item>localparam</item>
0227        <item>specparam</item>
0228        <!-- port direction -->
0229        <item>input</item>
0230        <item>output</item>
0231        <item>inout</item>
0232        <item>ref</item>
0233        <!-- integer atom type -->
0234        <item>byte</item>
0235        <item>shortint</item>
0236        <item>int</item>
0237        <item>integer</item>
0238        <item>longint</item>
0239        <item>time</item>
0240        <!-- integer vector type -->
0241        <item>bit</item>
0242        <item>logic</item>
0243        <item>reg</item>
0244        <!-- net type -->
0245        <item>supply0</item>
0246        <item>supply1</item>
0247        <item>tri</item>
0248        <item>triand</item>
0249        <item>trior</item>
0250        <item>trireg</item>
0251        <item>tri0</item>
0252        <item>tri1</item>
0253        <item>wire</item>
0254        <item>uwire</item>
0255        <item>wand</item>
0256        <item>wor</item>
0257        <!-- signing -->
0258        <item>signed</item>
0259        <item>unsigned</item>
0260        <!-- noninteger type -->
0261        <item>shortreal</item>
0262        <item>real</item>
0263        <item>realtime</item>
0264        <!-- type reference -->
0265        <item>type</item>
0266        <item>void</item>
0267        <!-- struct union -->
0268        <item>struct</item>
0269        <item>union</item>
0270        <item>tagged</item>
0271        <!-- modifier -->
0272        <item>const</item>
0273        <item>var</item>
0274        <item>automatic</item>
0275        <item>static</item>
0276        <item>packed</item>
0277        <item>vectored</item>
0278        <item>scalared</item>
0279        <!-- other types -->
0280        <item>typedef</item>
0281        <item>enum</item>
0282        <item>string</item>
0283        <item>chandle</item>
0284        <item>event</item>
0285        <!-- misc -->
0286        <item>null</item>
0287     </list>
0288 
0289     <list name="key_preprocessor">
0290         <item>`__FILE__</item>
0291         <item>`__LINE__</item>
0292         <item>`begin_keywords</item>
0293         <item>`celldefine</item>
0294         <item>`default_nettype</item>
0295         <item>`define</item>
0296         <item>`else</item>
0297         <item>`elsif</item>
0298         <item>`end_keywords</item>
0299         <item>`endcelldefine</item>
0300         <item>`endif</item>
0301         <item>`ifdef</item>
0302         <item>`ifndef</item>
0303         <item>`include</item>
0304         <item>`line</item>
0305         <item>`nounconnected_drive</item>
0306         <item>`pragma</item>
0307         <item>`resetall</item>
0308         <item>`timescale</item>
0309         <item>`unconnected_drive</item>
0310         <item>`undef</item>
0311         <item>`undefineall</item>
0312     </list>
0313 
0314     <list name="key_system">
0315         <item>$finish</item>
0316         <item>$stop</item>
0317         <item>$exit</item>
0318         <item>$realtime</item>
0319         <item>$stime</item>
0320         <item>$time</item>
0321         <item>$printtimescale</item>
0322         <item>$timeformat</item>
0323         <item>$bitstoreal</item>
0324         <item>$realtobits</item>
0325         <item>$bitstoshortreal</item>
0326         <item>$shortrealtobits</item>
0327         <item>$itor</item>
0328         <item>$rtoi</item>
0329         <item>$signed</item>
0330         <item>$unsigned</item>
0331         <item>$cast</item>
0332         <item>$bits</item>
0333         <item>$isunbounded</item>
0334         <item>$typename</item>
0335         <item>$unpacked_dimensions</item>
0336         <item>$dimensions</item>
0337         <item>$left</item>
0338         <item>$right</item>
0339         <item>$low</item>
0340         <item>$high</item>
0341         <item>$increment</item>
0342         <item>$size</item>
0343         <item>$clog2</item>
0344         <item>$asin</item>
0345         <item>$ln</item>
0346         <item>$acos</item>
0347         <item>$log10</item>
0348         <item>$atan</item>
0349         <item>$exp</item>
0350         <item>$atan2</item>
0351         <item>$sqrt</item>
0352         <item>$hypot</item>
0353         <item>$pow</item>
0354         <item>$sinh</item>
0355         <item>$floor</item>
0356         <item>$cosh</item>
0357         <item>$ceil</item>
0358         <item>$tanh</item>
0359         <item>$sin</item>
0360         <item>$asinh</item>
0361         <item>$cos</item>
0362         <item>$acosh</item>
0363         <item>$tan</item>
0364         <item>$atanh</item>
0365         <item>$fatal</item>
0366         <item>$error</item>
0367         <item>$warning</item>
0368         <item>$info</item>
0369         <item>$fatal</item>
0370         <item>$error</item>
0371         <item>$warning</item>
0372         <item>$info</item>
0373         <item>$asserton</item>
0374         <item>$assertoff</item>
0375         <item>$assertkill</item>
0376         <item>$assertpasson</item>
0377         <item>$assertpassoff</item>
0378         <item>$assertfailon</item>
0379         <item>$assertfailoff</item>
0380         <item>$assertnonvacuouson</item>
0381         <item>$assertvacuousoff</item>
0382         <item>$onehot</item>
0383         <item>$onehot0</item>
0384         <item>$isunknown</item>
0385         <item>$sampled</item>
0386         <item>$rose</item>
0387         <item>$fell</item>
0388         <item>$stable</item>
0389         <item>$changed</item>
0390         <item>$past</item>
0391         <item>$countones</item>
0392         <item>$past_gclk</item>
0393         <item>$rose_gclk</item>
0394         <item>$fell_gclk</item>
0395         <item>$stable_gclk</item>
0396         <item>$changed_gclk</item>
0397         <item>$future_gclk</item>
0398         <item>$rising_gclk</item>
0399         <item>$falling_gclk</item>
0400         <item>$steady_gclk</item>
0401         <item>$changing_gclk</item>
0402         <item>$coverage_control</item>
0403         <item>$coverage_get_max</item>
0404         <item>$coverage_get</item>
0405         <item>$coverage_merge</item>
0406         <item>$coverage_save</item>
0407         <item>$get_coverage</item>
0408         <item>$set_coverage_db_name</item>
0409         <item>$load_coverage_db</item>
0410         <item>$random</item>
0411         <item>$dist_chi_square</item>
0412         <item>$dist_erlang</item>
0413         <item>$dist_exponential</item>
0414         <item>$dist_normal</item>
0415         <item>$dist_poisson</item>
0416         <item>$dist_t</item>
0417         <item>$dist_uniform</item>
0418         <item>$q_initialize</item>
0419         <item>$q_add</item>
0420         <item>$q_remove</item>
0421         <item>$q_full</item>
0422         <item>$q_exam</item>
0423         <item>$async$and$array</item>
0424         <item>$async$and$plane</item>
0425         <item>$async$nand$array</item>
0426         <item>$async$nand$plane</item>
0427         <item>$async$or$array</item>
0428         <item>$async$or$plane</item>
0429         <item>$async$nor$array</item>
0430         <item>$async$nor$plane</item>
0431         <item>$sync$and$array</item>
0432         <item>$sync$and$plane</item>
0433         <item>$sync$nand$array</item>
0434         <item>$sync$nand$plane</item>
0435         <item>$sync$or$array</item>
0436         <item>$sync$or$plane</item>
0437         <item>$sync$nor$array</item>
0438         <item>$sync$nor$plane</item>
0439         <item>$system</item>
0440         <item>$display</item>
0441         <item>$write</item>
0442         <item>$displayb</item>
0443         <item>$writeb</item>
0444         <item>$displayh</item>
0445         <item>$writeh</item>
0446         <item>$displayo</item>
0447         <item>$writeo</item>
0448         <item>$strobe</item>
0449         <item>$monitor</item>
0450         <item>$strobeb</item>
0451         <item>$monitorb</item>
0452         <item>$strobeh</item>
0453         <item>$monitorh</item>
0454         <item>$strobeo</item>
0455         <item>$monitoro</item>
0456         <item>$monitoroff</item>
0457         <item>$monitoron</item>
0458         <item>$fclose</item>
0459         <item>$fopen</item>
0460         <item>$fdisplay</item>
0461         <item>$fwrite</item>
0462         <item>$fdisplayb</item>
0463         <item>$fwriteb</item>
0464         <item>$fdisplayh</item>
0465         <item>$fwriteh</item>
0466         <item>$fdisplayo</item>
0467         <item>$fwriteo</item>
0468         <item>$fstrobe</item>
0469         <item>$fmonitor</item>
0470         <item>$fstrobeb</item>
0471         <item>$fmonitorb</item>
0472         <item>$fstrobeh</item>
0473         <item>$fmonitorh</item>
0474         <item>$fstrobeo</item>
0475         <item>$fmonitoro</item>
0476         <item>$swrite</item>
0477         <item>$sformat</item>
0478         <item>$swriteb</item>
0479         <item>$sformatf</item>
0480         <item>$swriteh</item>
0481         <item>$fgetc</item>
0482         <item>$swriteo</item>
0483         <item>$ungetc</item>
0484         <item>$fscanf</item>
0485         <item>$fgets</item>
0486         <item>$fread</item>
0487         <item>$sscanf</item>
0488         <item>$fseek</item>
0489         <item>$rewind</item>
0490         <item>$fflush</item>
0491         <item>$ftell</item>
0492         <item>$feof</item>
0493         <item>$ferror</item>
0494         <item>$readmemb</item>
0495         <item>$readmemh</item>
0496         <item>$writememb</item>
0497         <item>$writememh</item>
0498         <item>$test$plusargs</item>
0499         <item>$value$plusargs</item>
0500         <item>$dumpfile</item>
0501         <item>$dumpvars</item>
0502         <item>$dumpoff</item>
0503         <item>$dumpon</item>
0504         <item>$dumpall</item>
0505         <item>$dumplimit</item>
0506         <item>$dumpflush</item>
0507         <item>$dumpports</item>
0508         <item>$dumpportsoff</item>
0509         <item>$dumpportson</item>
0510         <item>$dumpportsall</item>
0511         <item>$dumpportslimit</item>
0512         <item>$dumpportsflush</item>
0513     </list>
0514 
0515     <list name="key_gates">
0516        <item>pullup</item>
0517        <item>pulldown</item>
0518        <item>cmos</item>
0519        <item>rcmos</item>
0520        <item>nmos</item>
0521        <item>pmos</item>
0522        <item>rnmos</item>
0523        <item>rpmos</item>
0524        <item>and</item>
0525        <item>nand</item>
0526        <item>or</item>
0527        <item>nor</item>
0528        <item>xor</item>
0529        <item>xnor</item>
0530        <item>not</item>
0531        <item>buf</item>
0532        <item>tran</item>
0533        <item>rtran</item>
0534        <item>tranif0</item>
0535        <item>tranif1</item>
0536        <item>rtranif0</item>
0537        <item>rtranif1</item>
0538        <item>bufif0</item>
0539        <item>bufif1</item>
0540        <item>notif0</item>
0541        <item>notif1</item>
0542     </list>
0543 
0544     <list name="key_strength">
0545        <!-- drive strength -->
0546        <item>strong0</item>
0547        <item>strong1</item>
0548        <item>pull0</item>
0549        <item>pull1</item>
0550        <item>weak0</item>
0551        <item>weak1</item>
0552        <item>highz0</item>
0553        <item>highz1</item>
0554        <!-- charge strength -->
0555        <item>small</item>
0556        <item>medium</item>
0557        <item>large</item>
0558     </list>
0559     <list name="key_stdlib">
0560        <item>randomize</item>
0561        <item>mailbox</item>
0562        <item>semaphore</item>
0563        <item>put</item>
0564        <item>get</item>
0565        <item>try_put</item>
0566        <item>try_get</item>
0567        <item>peek</item>
0568        <item>try_peek</item>
0569        <item>process</item>
0570        <item>state</item>
0571        <item>self</item>
0572        <item>status</item>
0573        <item>kill</item>
0574        <item>await</item>
0575        <item>suspend</item>
0576        <item>resume</item>
0577        <!-- Array -->
0578        <item>size</item>
0579        <item>delete</item>
0580        <item>insert</item>
0581        <item>num</item>
0582        <item>first</item>
0583        <item>last</item>
0584        <item>next</item>
0585        <item>prev</item>
0586        <item>pop_front</item>
0587        <item>pop_back</item>
0588        <item>push_front</item>
0589        <item>push_back</item>
0590        <item>find</item>
0591        <item>find_index</item>
0592        <item>find_first</item>
0593        <item>find_last</item>
0594        <item>find_last_index</item>
0595        <item>min</item>
0596        <item>max</item>
0597        <item>unique_index</item>
0598        <item>reverse</item>
0599        <item>sort</item>
0600        <item>rsort</item>
0601        <item>shuffle</item>
0602        <item>sum</item>
0603        <item>product</item>
0604        <!-- List_pkg -->
0605        <item>List</item>
0606        <item>List_Iterator</item>
0607        <item>neq</item>
0608        <item>eq</item>
0609        <item>data</item>
0610        <item>empty</item>
0611        <item>front</item>
0612        <item>back</item>
0613        <item>start</item>
0614        <item>finish</item>
0615        <item>insert_range</item>
0616        <item>erase</item>
0617        <item>erase_range</item>
0618        <item>set</item>
0619        <item>swap</item>
0620        <item>clear</item>
0621        <item>purge</item>
0622     </list>
0623 
0624     <list name="begin">
0625        <item>begin</item>
0626     </list>
0627     <list name="end">
0628        <item>end</item>
0629     </list>
0630     <list name="package">
0631        <item>package</item>
0632     </list>
0633     <list name="endpackage">
0634        <item>endpackage</item>
0635     </list>
0636     <list name="macromodule">
0637        <item>macromodule</item>
0638     </list>
0639     <list name="module">
0640        <item>module</item>
0641     </list>
0642     <list name="endmodule">
0643        <item>endmodule</item>
0644     </list>
0645     <list name="generate">
0646        <item>generate</item>
0647     </list>
0648     <list name="endgenerate">
0649        <item>endgenerate</item>
0650     </list>
0651     <list name="program">
0652        <item>program</item>
0653     </list>
0654     <list name="endprogram">
0655        <item>endprogram</item>
0656     </list>
0657     <list name="class">
0658        <item>class</item>
0659     </list>
0660     <list name="endclass">
0661        <item>endclass</item>
0662     </list>
0663     <list name="function">
0664        <item>function</item>
0665     </list>
0666     <list name="endfunction">
0667        <item>endfunction</item>
0668     </list>
0669     <list name="case">
0670        <item>case</item>
0671     </list>
0672     <list name="casex">
0673        <item>casex</item>
0674     </list>
0675     <list name="casez">
0676        <item>casez</item>
0677     </list>
0678     <list name="randcase">
0679        <item>randcase</item>
0680     </list>
0681     <list name="endcase">
0682        <item>endcase</item>
0683     </list>
0684     <list name="interface">
0685        <item>interface</item>
0686     </list>
0687     <list name="endinterface">
0688        <item>endinterface</item>
0689     </list>
0690     <list name="clocking">
0691        <item>clocking</item>
0692     </list>
0693     <list name="endclocking">
0694        <item>endclocking</item>
0695     </list>
0696     <list name="task">
0697        <item>task</item>
0698     </list>
0699     <list name="endtask">
0700        <item>endtask</item>
0701     </list>
0702     <list name="primitive">
0703        <item>primitive</item>
0704     </list>
0705     <list name="endprimitive">
0706        <item>endprimitive</item>
0707     </list>
0708     <list name="fork">
0709        <item>fork</item>
0710     </list>
0711     <list name="join">
0712        <item>join</item>
0713     </list>
0714     <list name="join_any">
0715        <item>join_any</item>
0716     </list>
0717     <list name="join_none">
0718        <item>join_none</item>
0719     </list>
0720     <list name="covergroup">
0721        <item>covergroup</item>
0722     </list>
0723     <list name="endgroup">
0724        <item>endgroup</item>
0725     </list>
0726     <list name="checker">
0727        <item>checker</item>
0728     </list>
0729     <list name="endchecker">
0730        <item>endchecker</item>
0731     </list>
0732     <list name="property">
0733        <item>property</item>
0734     </list>
0735     <list name="endproperty">
0736        <item>endproperty</item>
0737     </list>
0738     <list name="randsequence">
0739        <item>randsequence</item>
0740     </list>
0741     <list name="sequence">
0742        <item>sequence</item>
0743     </list>
0744     <list name="endsequence">
0745        <item>endsequence</item>
0746     </list>
0747     <list name="specify">
0748        <item>specify</item>
0749     </list>
0750     <list name="endspecify">
0751        <item>endspecify</item>
0752     </list>
0753     <list name="config">
0754        <item>config</item>
0755     </list>
0756     <list name="endconfig">
0757        <item>endconfig</item>
0758     </list>
0759     <list name="table">
0760        <item>table</item>
0761     </list>
0762     <list name="endtable">
0763        <item>endtable</item>
0764     </list>
0765     <list name="extern">
0766        <item>extern</item>
0767     </list>
0768     <list name="pure">
0769        <item>pure</item>
0770     </list>
0771     <list name="typedef">
0772        <item>typedef</item>
0773     </list>
0774     <list name="import">
0775        <item>import</item>
0776     </list>
0777 
0778 
0779     <contexts>
0780       <context attribute="Normal Text" lineEndContext="#stay" name="Normal">
0781         <DetectChar attribute="Symbol" context="#stay" char="{" beginRegion="Brace1"/>
0782         <DetectChar attribute="Symbol" context="#stay" char="}" endRegion="Brace1"/>
0783         <RegExpr attribute="Block Keyword" context="Block Name" String="begin\ *:" beginRegion="beginEnd"/>
0784         <keyword attribute="Method Keyword" context="Extern Context" String="extern"/>
0785         <keyword attribute="Method Keyword" context="Extern Context" String="pure"/>
0786         <keyword attribute="Method Keyword" context="Extern Context" String="import"/>
0787         <keyword attribute="Type Keyword" context="Typedef Context" String="typedef"/>
0788         <keyword attribute="Block Keyword" context="#stay" String="begin" beginRegion="beginEnd"/>
0789         <keyword attribute="Block Keyword" context="#stay" String="end" endRegion="beginEnd"/>
0790         <keyword attribute="Block Keyword" context="#stay" String="package" beginRegion="packageEndpackage"/>
0791         <keyword attribute="Block Keyword" context="#stay" String="endpackage" endRegion="packageEndpackage"/>
0792         <keyword attribute="Block Keyword" context="#stay" String="macromodule" beginRegion="moduleEndmodule"/>
0793         <keyword attribute="Block Keyword" context="#stay" String="module" beginRegion="moduleEndmodule"/>
0794         <keyword attribute="Block Keyword" context="#stay" String="endmodule" endRegion="moduleEndmodule"/>
0795         <keyword attribute="Block Keyword" context="#stay" String="generate" beginRegion="generateEndgenerate"/>
0796         <keyword attribute="Block Keyword" context="#stay" String="endgenerate" endRegion="generateEndgenerate"/>
0797         <keyword attribute="Block Keyword" context="#stay" String="program" beginRegion="programEndprogram"/>
0798         <keyword attribute="Block Keyword" context="#stay" String="endprogram" endRegion="programEndprogram"/>
0799         <keyword attribute="Block Keyword" context="#stay" String="class" beginRegion="classEndclass"/>
0800         <keyword attribute="Block Keyword" context="#stay" String="endclass" endRegion="classEndclass"/>
0801         <keyword attribute="Block Keyword" context="#stay" String="function" beginRegion="functionEndfunction"/>
0802         <keyword attribute="Block Keyword" context="#stay" String="endfunction" endRegion="functionEndfunction"/>
0803         <keyword attribute="Block Keyword" context="#stay" String="case" beginRegion="caseEndcase"/>
0804         <keyword attribute="Block Keyword" context="#stay" String="casex" beginRegion="caseEndcase"/>
0805         <keyword attribute="Block Keyword" context="#stay" String="casez" beginRegion="caseEndcase"/>
0806         <keyword attribute="Block Keyword" context="#stay" String="randcase" beginRegion="caseEndcase"/>
0807         <keyword attribute="Block Keyword" context="#stay" String="endcase" endRegion="caseEndcase"/>
0808         <keyword attribute="Block Keyword" context="#stay" String="interface" beginRegion="interfaceEndinterface"/>
0809         <keyword attribute="Block Keyword" context="#stay" String="endinterface" endRegion="interfaceEndinterface"/>
0810         <keyword attribute="Block Keyword" context="#stay" String="clocking" beginRegion="clockingEndclocking"/>
0811         <keyword attribute="Block Keyword" context="#stay" String="endclocking" endRegion="clockingEndclocking"/>
0812         <keyword attribute="Block Keyword" context="#stay" String="task" beginRegion="taskEndtask"/>
0813         <keyword attribute="Block Keyword" context="#stay" String="endtask" endRegion="taskEndtask"/>
0814         <keyword attribute="Block Keyword" context="#stay" String="primitive" beginRegion="primitiveEndprimitive"/>
0815         <keyword attribute="Block Keyword" context="#stay" String="endprimitive" endRegion="primitiveEndprimitive"/>
0816         <keyword attribute="Block Keyword" context="#stay" String="fork" beginRegion="forkJoin"/>
0817         <keyword attribute="Block Keyword" context="#stay" String="join" endRegion="forkJoin"/>
0818         <keyword attribute="Block Keyword" context="#stay" String="join_any" endRegion="forkJoin"/>
0819         <keyword attribute="Block Keyword" context="#stay" String="join_none" endRegion="forkJoin"/>
0820         <keyword attribute="Block Keyword" context="#stay" String="covergroup" beginRegion="covergroupEndgroup"/>
0821         <keyword attribute="Block Keyword" context="#stay" String="endgroup" endRegion="covergroupEndgroup"/>
0822         <keyword attribute="Block Keyword" context="#stay" String="checker" beginRegion="checkerEndchecker"/>
0823         <keyword attribute="Block Keyword" context="#stay" String="endchecker" endRegion="checkerEndchecker"/>
0824         <keyword attribute="Block Keyword" context="#stay" String="property" beginRegion="propertyEndproperty"/>
0825         <keyword attribute="Block Keyword" context="#stay" String="endproperty" endRegion="propertyEndproperty"/>
0826         <keyword attribute="Block Keyword" context="#stay" String="randsequence" beginRegion="sequenceEndsequence"/>
0827         <keyword attribute="Block Keyword" context="#stay" String="sequence" beginRegion="sequenceEndsequence"/>
0828         <keyword attribute="Block Keyword" context="#stay" String="endsequence" endRegion="sequenceEndsequence"/>
0829         <keyword attribute="Block Keyword" context="#stay" String="specify" beginRegion="specifyEndspecify"/>
0830         <keyword attribute="Block Keyword" context="#stay" String="endspecify" endRegion="specifyEndspecify"/>
0831         <keyword attribute="Block Keyword" context="#stay" String="config" beginRegion="configEndconfig"/>
0832         <keyword attribute="Block Keyword" context="#stay" String="endconfig" endRegion="configEndconfig"/>
0833         <keyword attribute="Block Keyword" context="#stay" String="table" beginRegion="tableEndtable"/>
0834         <keyword attribute="Block Keyword" context="#stay" String="endtable" endRegion="tableEndtable"/>
0835         <keyword attribute="Deprecated Keyword" context="#stay" String="key_deprecated"/>
0836         <keyword attribute="DPI Keyword" context="#stay" String="key_dpi"/>
0837         <keyword attribute="Assert Keyword" context="#stay" String="key_assert"/>
0838         <keyword attribute="Coverage Keyword" context="#stay" String="key_coverage"/>
0839         <keyword attribute="Generator Keyword" context="#stay" String="key_generator"/>
0840         <keyword attribute="Confitional Keyword" context="#stay" String="key_conditional"/>
0841         <keyword attribute="Case Keyword" context="#stay" String="key_case"/>
0842         <keyword attribute="Loop Keyword" context="#stay" String="key_loop"/>
0843         <keyword attribute="Specify Keyword" context="#stay" String="key_specify"/>
0844         <keyword attribute="Process Block Keyword" context="#stay" String="key_procblock"/>
0845         <keyword attribute="Continuous Assign Keyword" context="#stay" String="key_contassign"/>
0846         <keyword attribute="Event Keyword" context="#stay" String="key_event"/>
0847         <keyword attribute="Time Keyword" context="#stay" String="key_time"/>
0848         <keyword attribute="Class Keyword" context="#stay" String="key_class"/>
0849         <keyword attribute="Constraint Keyword" context="#stay" String="key_constraint"/>
0850         <keyword attribute="Method Keyword" context="#stay" String="key_methods"/>
0851         <keyword attribute="Config Keyword" context="#stay" String="key_config"/>
0852         <keyword attribute="Library Keyword" context="#stay" String="key_library"/>
0853         <keyword attribute="Interface Keyword" context="#stay" String="key_interface"/>
0854         <keyword attribute="Checker Keyword" context="#stay" String="key_checker"/>
0855         <keyword attribute="Data Type Keyword" context="#stay" String="key_types"/>
0856         <keyword attribute="Preprocessor Keyword" context="#stay" String="key_preprocessor"/>
0857         <keyword attribute="System Task Keyword" context="#stay" String="key_system"/>
0858         <keyword attribute="Drive/Charge Strength Keyword" context="#stay" String="key_strength"/>
0859         <keyword attribute="Gate Instantiation Keyword" context="#stay" String="key_gates"/>
0860         <keyword attribute="Standard Library" context="#stay" String="key_stdlib"/>
0861 
0862         <RegExpr attribute="Decimal" context="#stay" String="[\d_]*'d[\d_]+"/>
0863         <RegExpr attribute="Octal" context="#stay" String="[\d_]*'o[0-7xXzZ_]+"/>
0864         <RegExpr attribute="Hex" context="#stay" String="[\d_]*'h[\da-fA-FxXzZ_]+"/>
0865         <RegExpr attribute="Binary" context="#stay" String="[\d_]*'b[01_zZxX]+"/>
0866         <Float attribute="Float" context="#stay"/>
0867         <Int attribute="Integer" context="#stay"/>
0868 
0869         <RegExpr attribute="Port Connection" context="#stay" String="[^\w$]\.[a-zA-Z]+[\w$]*"/>
0870 
0871         <DetectChar attribute="String" context="String" char="&quot;"/>
0872         <IncludeRules context="FindComments" />
0873         <AnyChar attribute="Symbol" context="#stay" String="!%&amp;()+,-&lt;=+/:;&gt;?[]^{|}~@"/>
0874 
0875         <DetectChar attribute="Preprocessor" context="Preprocessor" char="`" column="0"/>
0876         <RegExpr attribute="Preprocessor" context="#stay" String="\`[a-zA-Z_]+\w*" />
0877         <RegExpr attribute="PLI Task" context="#stay" String="\$[a-zA-Z_]+\w*"/>
0878         <RegExpr attribute="Delay" context="#stay" String="#[\d_]+"/>
0879       </context>
0880       <context attribute="Normal Text" lineEndContext="#pop" name="Extern Context">
0881          <keyword attribute="Method Keyword" context="#stay" String="key_methods"/>
0882          <keyword attribute="DPI Keyword" context="#stay" String="key_dpi"/>
0883          <keyword attribute="Class Keyword" context="#stay" String="key_class"/>
0884               <keyword attribute="Constraint Keyword" context="#stay" String="key_constraint"/>
0885          <keyword attribute="Block Keyword" context="#pop" String="function"/>
0886          <keyword attribute="Block Keyword" context="#pop" String="task"/>
0887       </context>
0888       <context attribute="Normal Text" lineEndContext="#pop" name="Typedef Context">
0889          <keyword attribute="Block Keyword" context="#pop" String="class"/>
0890          <keyword attribute="Type Keyword" context="#pop" String="key_types"/>
0891          <keyword attribute="Interface Keyword" context="#pop" String="key_interface"/>
0892          <keyword attribute="Checker Keyword" context="#pop" String="key_checker"/>
0893       </context>
0894       <context attribute="String" lineEndContext="#pop" name="String">
0895         <LineContinue attribute="String" context="#stay"/>
0896         <HlCStringChar attribute="String Char" context="#stay"/>
0897         <DetectChar attribute="String" context="#pop" char="&quot;"/>
0898       </context>
0899 
0900       <context name="FindComments" attribute="Normal Text" lineEndContext="#pop">
0901         <Detect2Chars attribute="Comment" context="MatchComment" char="/" char1="/" lookAhead="true" />
0902         <Detect2Chars attribute="Comment" context="MatchComment" char="/" char1="*" lookAhead="true" />
0903       </context>
0904 
0905       <context name="MatchComment" attribute="Normal Text" lineEndContext="#pop" fallthrough="true" fallthroughContext="#pop">
0906         <IncludeRules context="##Doxygen" />
0907         <Detect2Chars attribute="Comment" context="#pop!Commentar 1" char="/" char1="/"/>
0908         <Detect2Chars attribute="Comment" context="#pop!Commentar 2" char="/" char1="*" beginRegion="Comment"/>
0909       </context>
0910 
0911       <context attribute="Comment" lineEndContext="#pop" name="Commentar 1">
0912         <LineContinue attribute="Comment" context="#stay"/>
0913         <DetectSpaces />
0914         <IncludeRules context="##Comments" />
0915         <DetectIdentifier />
0916       </context>
0917 
0918       <context attribute="Comment" lineEndContext="#stay" name="Commentar 2">
0919         <DetectSpaces />
0920         <Detect2Chars attribute="Comment" context="#pop" char="*" char1="/" endRegion="Comment"/>
0921         <IncludeRules context="##Comments" />
0922         <DetectIdentifier />
0923       </context>
0924      
0925       <context attribute="Preprocessor" lineEndContext="#pop" name="Preprocessor">
0926         <LineContinue attribute="Preprocessor" context="#stay"/>
0927         <RangeDetect attribute="Prep. Lib" context="#stay" char="&quot;" char1="&quot;"/>
0928         <RangeDetect attribute="Prep. Lib" context="#stay" char="&lt;" char1="&gt;"/>
0929         <IncludeRules context="FindComments" />
0930       </context>
0931 
0932       <context attribute="Block Name" lineEndContext="#pop" name="Block Name">
0933           <RegExpr attribute="Data Type Keyword" context="#pop" String="[^ ]+"/>
0934       </context>
0935     </contexts>
0936 
0937     <itemDatas>
0938       <itemData name="Normal Text" defStyleNum="dsNormal"/>
0939       <itemData name="Block Keyword" defStyleNum="dsKeyword"/>
0940       <itemData name="DPI Keyword" defStyleNum="dsKeyword"/>
0941       <itemData name="Assert Keyword" defStyleNum="dsKeyword"/>
0942       <itemData name="Coverage Keyword" defStyleNum="dsKeyword"/>
0943       <itemData name="Generator Keyword" defStyleNum="dsKeyword"/>
0944       <itemData name="Confitional Keyword" defStyleNum="dsKeyword"/>
0945       <itemData name="Case Keyword" defStyleNum="dsKeyword"/>
0946       <itemData name="Loop Keyword" defStyleNum="dsKeyword"/>
0947       <itemData name="Specify Keyword" defStyleNum="dsKeyword"/>
0948       <itemData name="Process Block Keyword" defStyleNum="dsKeyword"/>
0949       <itemData name="Continuous Assign Keyword" defStyleNum="dsKeyword"/>
0950       <itemData name="Event Keyword" defStyleNum="dsKeyword"/>
0951       <itemData name="Time Keyword" defStyleNum="dsKeyword"/>
0952       <itemData name="Class Keyword" defStyleNum="dsKeyword"/>
0953       <itemData name="Constraint Keyword" defStyleNum="dsKeyword"/>
0954       <itemData name="Method Keyword" defStyleNum="dsKeyword"/>
0955       <itemData name="Config Keyword" defStyleNum="dsKeyword"/>
0956       <itemData name="Library Keyword" defStyleNum="dsKeyword"/>
0957       <itemData name="Interface Keyword" defStyleNum="dsKeyword"/>
0958       <itemData name="Checker Keyword" defStyleNum="dsKeyword"/>
0959       <itemData name="Type Keyword" defStyleNum="dsKeyword"/>
0960       <itemData name="Preprocessor Keyword" defStyleNum="dsOthers"/>
0961       <itemData name="Preprocessor" defStyleNum="dsOthers"/>
0962       <itemData name="System Task Keyword" defStyleNum="dsKeyword"/>
0963       <itemData name="Data Type Keyword" defStyleNum="dsKeyword"/>
0964       <itemData name="Drive/Charge Strength Keyword" defStyleNum="dsKeyword"/>
0965       <itemData name="Gate Instantiation Keyword" defStyleNum="dsKeyword"/>
0966       <itemData name="Decimal" defStyleNum="dsBaseN"/>
0967       <itemData name="Octal" defStyleNum="dsBaseN"/>
0968       <itemData name="Hex" defStyleNum="dsBaseN"/>
0969       <itemData name="Binary" defStyleNum="dsBaseN"/>
0970       <itemData name="Float" defStyleNum="dsFloat"/>
0971       <itemData name="String" defStyleNum="dsString"/>
0972       <itemData name="String Char" defStyleNum="dsChar"/>
0973       <itemData name="Comment" defStyleNum="dsComment"/>
0974       <itemData name="Deprecated Keyword" defStyleNum="dsError"/>
0975       <itemData name="Symbol" defStyleNum="dsNormal"/>
0976       <itemData name="Prep. Lib" defStyleNum="dsFloat"/>
0977       <itemData name="PLI Task" defStyleNum="dsDataType"/>
0978       <itemData name="Integer" defStyleNum="dsDecVal"/>
0979       <itemData name="Delay" defStyleNum="dsBaseN"/>
0980       <itemData name="Block Name" defStyleNum="dsDataType"/>
0981       <itemData name="Port Connection" defStyleNum="dsDataType"/>
0982       <itemData name="Standard Library" defStyleNum="dsOthers"/>
0983       </itemDatas>
0984   </highlighting>
0985   <general>
0986     <comments>
0987       <comment name="singleLine" start="//" position="afterwhitespace" />
0988       <comment name="multiLine" start="/*" end="*/" region="Comment"/>
0989     </comments>
0990     <keywords casesensitive="1"/>
0991   </general>
0992 </language>
0993 <!-- kate: replace-tabs on; tab-width 2; indent-width 2; -->