Warning, /frameworks/syntax-highlighting/data/syntax/ahdl.xml is written in an unsupported language. File is not indexed.

0001 <?xml version="1.0" encoding="UTF-8"?>
0002 <!DOCTYPE language>
0003 <language name="AHDL" version="6" kateversion="5.0" section="Hardware" extensions="*.ahdl;*.tdf" mimetype="text/x-ahdl" author="Dominik Haumann (dhaumann@kde.org)" license="MIT">
0004   <highlighting>
0005     <list name="keywords">
0006       <item>assert</item>
0007       <item>bidir</item>
0008       <item>bits</item>
0009       <item>buried</item>
0010       <item>case</item>
0011       <item>clique</item>
0012       <item>connected_pins</item>
0013       <item>constant</item>
0014       <item>defaults</item>
0015       <item>define</item>
0016       <item>design</item>
0017       <item>device</item>
0018       <item>else</item>
0019       <item>elsif</item>
0020       <item>for</item>
0021       <item>function</item>
0022       <item>generate</item>
0023       <item>gnd</item>
0024       <item>help_id</item>
0025       <item>in</item>
0026       <item>include</item>
0027       <item>input</item>
0028       <item>is</item>
0029       <item>machine</item>
0030       <item>node</item>
0031       <item>of</item>
0032       <item>options</item>
0033       <item>others</item>
0034       <item>output</item>
0035       <item>parameters</item>
0036       <item>returns</item>
0037       <item>states</item>
0038       <item>subdesign</item>
0039       <item>then</item>
0040       <item>title</item>
0041       <item>to</item>
0042       <item>tri_state_node</item>
0043       <item>variable</item>
0044       <item>vcc</item>
0045       <item>when</item>
0046       <item>with</item>
0047     </list>
0048     <list name="types">
0049       <item>carry</item>
0050       <item>cascade</item>
0051       <item>dffe</item>
0052       <item>dff</item>
0053       <item>exp</item>
0054       <item>global</item>
0055       <item>jkffe</item>
0056       <item>jkff</item>
0057       <item>latch</item>
0058       <item>lcell</item>
0059       <item>mcell</item>
0060       <item>memory</item>
0061       <item>opendrn</item>
0062       <item>soft</item>
0063       <item>srffe</item>
0064       <item>srff</item>
0065       <item>tffe</item>
0066       <item>tff</item>
0067       <item>tri</item>
0068       <item>wire</item>
0069       <item>x</item>
0070     </list>
0071     <list name="operator">
0072       <item>not</item>
0073       <item>and</item>
0074       <item>nand</item>
0075       <item>or</item>
0076       <item>nor</item>
0077       <item>xor</item>
0078       <item>xnor</item>
0079       <item>mod</item>
0080       <item>div</item>
0081       <item>log2</item>
0082       <item>used</item>
0083       <item>ceil</item>
0084       <item>floor</item>
0085     </list>
0086     <contexts>
0087       <context name="normal" attribute="Normal Text" lineEndContext="#stay">
0088         <RegExpr attribute="Keyword" context="#stay" String="\bdefaults\b" insensitive="true" beginRegion="def"/>
0089         <RegExpr attribute="Keyword" context="#stay" String="\bend\s+defaults\b" insensitive="true" endRegion="def"/>
0090         <RegExpr attribute="Keyword" context="#stay" String="\bif\b" insensitive="true" beginRegion="if"/>
0091         <RegExpr attribute="Keyword" context="#stay" String="\bend\s+if\b" insensitive="true" endRegion="if"/>
0092         <RegExpr attribute="Keyword" context="#stay" String="\btable\b" insensitive="true" beginRegion="table"/>
0093         <RegExpr attribute="Keyword" context="#stay" String="\bend\s+table\b" insensitive="true" endRegion="table"/>
0094         <RegExpr attribute="Keyword" context="#stay" String="\bcase\b" insensitive="true" beginRegion="case"/>
0095         <RegExpr attribute="Keyword" context="#stay" String="\bend\s+case\b" insensitive="true" endRegion="case"/>
0096         <RegExpr attribute="Keyword" context="#stay" String="\bbegin\b" insensitive="true" beginRegion="block"/>
0097         <RegExpr attribute="Keyword" context="#stay" String="\bend\b" insensitive="true" endRegion="block"/>
0098         <DetectChar attribute="Normal Text" context="#stay" char="(" beginRegion="bracket"/>
0099         <DetectChar attribute="Normal Text" context="#stay" char=")" endRegion="bracket"/>
0100         <keyword attribute="Keyword" context="#stay" String="keywords"/>
0101         <keyword attribute="Data Type" context="#stay" String="types"/>
0102         <keyword attribute="Operator" context="#stay" String="operator"/>
0103         <RegExpr attribute="Decimal" context="#stay" String="\b(\d+)\b" />
0104         <RegExpr attribute="Bit" context="#stay" String="\bb&quot;(0|1|x)+&quot;" insensitive="true"/>
0105         <RegExpr attribute="Octal" context="#stay" String="\b(o|q)&quot;[0-7*]&quot;" insensitive="true"/>
0106         <RegExpr attribute="Hex" context="#stay" String="\b(h|x)&quot;[0-9a-f]*&quot;" insensitive="true"/>
0107         <DetectChar attribute="String" context="string" char="&quot;" />
0108         <RegExpr attribute="Region Marker" context="#stay" String="--\s*BEGIN.*$" beginRegion="region" firstNonSpace="true"/>
0109         <RegExpr attribute="Region Marker" context="#stay" String="--\s*END.*$" endRegion="region" firstNonSpace="true"/>
0110         <Detect2Chars attribute="Comment" context="LineComment" char="-" char1="-" />
0111         <DetectChar attribute="Comment" context="comment" char="%" />
0112         <HlCChar attribute="Char" context="#stay"/>
0113       </context>
0114       <context name="string" attribute="String" lineEndContext="#stay" >
0115         <Detect2Chars attribute="Char" context="#stay" char="\" char1="&quot;" />
0116         <DetectChar attribute="String" context="#pop" char="&quot;" />
0117       </context>
0118       <context name="comment" attribute="Comment" lineEndContext="#stay" >
0119         <DetectChar attribute="Comment" context="#pop" char="%" />
0120         <IncludeRules context="##Comments" />
0121       </context>
0122       <context name="LineComment" attribute="Comment" lineEndContext="#pop">
0123         <IncludeRules context="##Comments" />
0124       </context>
0125     </contexts>
0126     <itemDatas>
0127       <itemData name="Normal Text" defStyleNum="dsNormal" spellChecking="false" />
0128       <itemData name="Keyword" defStyleNum="dsKeyword"  spellChecking="false" />
0129       <itemData name="Data Type" defStyleNum="dsDataType"  spellChecking="false" />
0130       <itemData name="Comment" defStyleNum="dsComment" />
0131       <itemData name="String" defStyleNum="dsString" />
0132       <itemData name="Operator" defStyleNum="dsOperator" spellChecking="false" />
0133       <itemData name="Char" defStyleNum="dsChar"/>
0134       <itemData name="Bit" defStyleNum="dsDecVal" spellChecking="false" />
0135       <itemData name="Decimal" defStyleNum="dsDecVal" spellChecking="false" />
0136       <itemData name="Octal" defStyleNum="dsBaseN" spellChecking="false" />
0137       <itemData name="Hex" defStyleNum="dsBaseN" spellChecking="false" />
0138       <itemData name="Region Marker" defStyleNum="dsRegionMarker"/>
0139     </itemDatas>
0140   </highlighting>
0141   <general>
0142     <comments>
0143       <comment name="singleLine" start="--" />
0144       <comment name="multiLine" start="%" end="%" region="Comment" />
0145     </comments>
0146     <keywords casesensitive="0" />
0147   </general>
0148 </language>
0149 <!-- kate: space-indent on; indent-width 2; replace-tabs on; -->